CPLD実験ボード(HDL-CQ1)
動 作 テ ス ト 用 回 路

【概要】
 CPLDボード(HDL-CQ1)を作成した後,回路が正常に機能することを確認するための回路です.
 テストは次の7項目に分かれており,マニュアル・クロックを押すことで次のテスト項目へ移行します.

テスト項目 テスト動作 略称
1 発振器 単体LEDを点滅 OSC
2 スライド・スイッチ スイッチのビット対応で発光 SLD
3 単体LED 1ビット毎に点灯 LED
4 7セグメントLED 1セグメント毎に点灯 SEG
5 ワンショット・マルチバイブレータ パルス幅で単体LEDが変化
  右コントローラ → LED[3:0] 
  左コントローラ → LED[7:4]
ONE
6 スピーカ 1KHz/100Hz連続音 SPK
7 VGA テスト・パターンの表示 VGA

 テスト項目の略称7セグメントLEDに表示されます.

【使用方法】
 まず,リセット・スイッチを押します.その際,マニュアル・クロック用スイッチの状況でクロック源を選択することができます.

  ●リセット・スイッチだけを押す
    ⇒ 水晶発振器をクロック源とするテストを開始
  ●マニュアル・クロック・スイッチを押しながらリセット・スイッチを押す
    ⇒ 555をクロック源とするテストを開始

 リセット後,マニュアル・クロック・スイッチを押す毎にテスト項目(上記表)が推移します.テスト終了後,7セグメントLEDにENDと表示されます.

【回路ファイル】
 ファイルは次の3つで,プロジェクトに登録するのは,MAX2top2001.vとsyncgen.vの2つです.my_const.vは定数を定義したファイルで,syncgen.v内においてインクルードしています.

 端子信号−端子番号制約情報は次のファイルにあります.

 論理合成(構文チェック)後にできるMAX2top.qsfファイルに追加し,再コンパイル⇒配置配線をしてください.