// adder4.v // adder4 verilog HDL addition operator(+) version `default_nettype none module adder4( input wire [3:0] data1, data2, output wire [3:0] sum, output wire cry4); assign {cry4, sum} = data1 + data2; endmodule