付録B Verilogシミュレータ GPL Cver

〔重要なお知らせ〕
 これまで GPL Cver を提供していた Pragmatic社のサイトから同ツールのページが削除され,入手が困難な状態になりました
 いろいろサーチしたところ,SOURCEFORGE.NETより最新版(最終版?)2.12aを入手ができることがわかりました.


〔入手先〕
 次のURLを開きファイルをダウンロードします.

   http://sourceforge.net/project/showfiles.php?group_id=260427&package_id=319838&release_id=678624


〔インストール〕
 GPL CverはCygwin上で実行するプログラムのため,インストールは少々手間がかかります.なお,Cygwinはインストール済みとします.

 @ダウンロードしたファイルを適当なディレクトリにコピーする.
  −Windows上で作業してよい.
  −例えば,C:\cygwin\usr\local\src\cverなど.
    (Cygwinでは/usr/local/src/cverに対応)
 ACygwinを起動し,ソース・ファイルのあるディレクトリへ移動する.
  −コンソール上でもX-Windowを起動しxterm上でも可.
 Bファイル名を変更し展開する.
  −Windowsでダウンロードした場合,ファイル名は次のようになっている.
   (2.11a部はバージョン情報なので異なる場合もある)
    gplcver-2.11a.src.tar.tar
   このファイルの拡張子は .tar となっているが,実際はtarでアーカイブ化
   されたものをBZIP2で圧縮したファイルになっている.
   そこで,拡張子を .bz2 に変更し(仮に cver.bz2とする)展開する.

    mv gplcver-2.11a.src.tar.tar cver.bz2
    bunzip2 cver.bz2
    tar xvf cver

   以上の作業で,ソース・ディレクトリ gplcver-2.11a.src が生成される.
 Cコンパイルする.
  −gplcver-2.11a.src/srcディレクトリに移動し,makeコマンドを実行する.

    cd gplcver-2.11a.src/src
    make -f makefile.cygwin all

   以上で,ディレクトリgplcver-2.11a.src/bin 下に実行ファイル cver.exe
   が生成される.
 D実行ファイルを移動する.
  −実行ファイルcver.exeをコマンド・パスの通っているディレクトリにコピー
   しておく.
   例えば,/usr/local/bin下の場合は次のコマンドを実行する.

    cd ../bin
    cp cver.exe /usr/local/bin


〔操作方法〕
 シミュレーションの実行は次のようになります.

 @シミュレーションに必要なVerilog HDLファイルを用意する.
  −ファイルの作成はwindows上でもCygwin上でもよい.
  −例えば,file1.v file2.v とする.
 ACygwinを起動し,コンソールを開く.
  −X-Windowを起動し,xtermなどの上で操作してもよい.
 B作業ディレクトリに移動する.
  −ドライブ・レターをD,作業ディレクトリを\verilog\testとすると

    cd /cygdrive/D/verilog/test

 Cコンソール上でコマンド cver に続き,必要なファイルを指定して実行する.

    cver file1.v file2.v

  あるいは

    cver *.v

 D実行結果がディスプレイに表示されるとともに,ファイル(verilog.log)も
  保存される.
 E次のパラメータで実行すると各種オプションの説明が表示される.

    cver -h