付録D XILINX ISE WebPACK

〔入手方法〕
 ISE WebPACKはXILINX社のサイトから無償でダウンロードすることができます.ただし,ダウンロード前にユーザ登録(アカウント作成)をする必要があります.また,販売代理店より無償でDVD-ROMを入手することができます.
 まず.次のサイトを開きます.

  http://www.xilinx.co.jp

[ザイリンクス製品]コーナーの[デザイン・ツール]下の[ISE WebPACK]をクリックします.あるいは,次のURLを開きます.

  http://www.xilinx.co.jp/ise/logic_design_prod/webpack.htm

 ページ内にある[無償ダウンロード]をクリックします.ここでログイン・ウィンドウが現われますので,すでにユーザIDとパスワードを取得している場合にはログインします.未取得の場合は[アカウント作成]ボタンをクリックし,必要事項を記入します.登録終了後,ログインしダウンロード・ページを開きます.
 ここで,オペレーティング・システムに対応したファイルをダウンロードします(WebInstallという方法もありますが,ここでは省略する).

〔インストール〕
 ダウンロードしたファイルは自己解凍ファイルになっており,クリックして起動します.以下,次の必要事項に回答していくとインストールが完了します.
 なお,インストール先のフォルダへのパスやユーザ環境変数(TEMPやTMP)のパスに日本語が含まれているとインストールに失敗しますので注意が必要です.確認方法は [マイ・コンピュータ]を右クリック⇒[プロパティ]⇒[詳細]タブ⇒[環境変数]とたどり,ユーザ環境変数の欄を見ます.もしTEMPとTMPのパスに日本語が含まれていたら消去するか日本語を使用しないパスに変更します.消去してもシステム環境変数にあるTEMPやTMPを参照するのでほとんど問題はありません.
 また,ウィルス検出プログラムは停止させておいた方が,トラブルが少なくかつ高速にインストールできます.

 @使用許諾書への同意
 Aインストール先のフォルダ指定
 Bインストール確認

 本書の執筆時点ではサービス・パック3が提供されていました.最新のサービス・パックもダウンロードしてインストールするようにします.さらに最近(2006.7.1現在),バージョン8.2iの提供があるアナウンスがありました.

〔操作方法〕
 論理合成,配置配線,デバイス・コンフィギュレーションの作業は次のようになります.

 @ISE WebPACKを起動する.
 Aプロジェクトを作成する.
  −メニュー:File→New Project を選択する.
  −作業フォルダとプロジェクト名の指定を行う.
    ・作業フォルダが既存の場合は,
      作業フォルダのあるフォルダ名をProject Locationに,
      作業フォルダ名をProject Nameに指定する.
    ・top-Level Source typeはHDLを指定する.
  −使用デバイスを指定する.
    Project Category は General Purpose
    Family      は XC9500 CPLDs
    Device      は XC95108
    Package      は PC84
    Speed       は -7 (使用するデバイスに合わせる)
    その他はデフォルトのままでよい.
  −Verilog HDLソース・ファイルを登録する.
    ・新規にVerilog HDLファイルを作成する場合は,次のウィンドウで[New Source...]ボタン
     をクリックして作成する.
    ・Verilog HDLファイルを作成済みの場合は,次のウィンドウをスキップし,
     その次のウィンドウで[Add Source]ボタンをクリックし,デバイス実装に必要な
     ファイルを指定する.
      テスト・ベンチ・ファイルは指定の必要はない.
      ピン制約ファイル(拡張子.ucf)があればそれも指定する.
  −プロジェクト・サマリとソース・ファイルを確認する.
 B端子信号と端子番号の対応付けをする.
  −プロジェクト作成時にピン制約ファイル(拡張子.ucf)を指定した場合は必要ないので
   Cへ進む.
  −Sourceウィンドウで最上位モジュール(本書の例ではXC95top)を指定する.
  −Processesウィンドウで[User Constraints]⇒[Assign Package Pins]を選択する.
  −確認ウィンドウが現われるので,[Yes]ボタンをクリックする.
  −信号一覧表とパッケージ 信号一覧表のLoc欄にピン番号を入れる.
    ・PLCC84パッケージの場合,ピン番号の前に"P"を付ける(例:P10).
 C論理合成・配置配線作業を実行する.
  −メイン・ウィンドウの左上部のSourceウィンドウでモジュールの階層構造を確認する.
  −実装対象の最上位モジュールを選択する.
    ・本書の例ではすべてXC95topになる.
    ・階層内モジュールを指定すると,そのモジュールのみが作業が作業対象となるので注意.
  −ProcessesウィンドウのImplement Designを展開し,上から順に実行する.
    ・Synthsize - XST
    ・Transtate
    ・Fit
    ・Generate Programming file
  −各処理にて処理条件を指定する場合は,右クリックしてProperties...を選択し,サブ・
   ウィンドウにて条件を指定する.
  −エラーがあると赤色地の×が表示される.
  −ワーニングがあると黄色地のエクスクラメーション・マークが表示される.
    ・Synthsize時のワーニングは無視してもよいものもるので,要注意.
  −緑色地のチェック・マークは問題がなかったことを意味する.
 Dデバイスをコンフィギュレーションする.
  −ProcessesウィンドウのConfigure Device(iMPACT)を起動する.
  −Configure devices using Boundary-Scan (JTAG)を選択する.
  −ファイル指定ウィンドウが開くのでJEDECファイル(拡張子.jed)を選択する.
    ・フォルダを確認する.
    ・ファイル名は最上位モジュール名になっていなければならない(拡張子は.jed).
      本書のサンプルの場合,すべてXC95top.jedとなる.
    ・ファイル名が異なる場合は,論理合成・配置配線作業時に誤って別のモジュールを指定
     した可能性が高いので,やり直す必要がある.
  −iMPACTのウィンドウ上にXC95108のアイコンが現われているので,右クリックして
   Program...を選ぶ.
  −Programming Propertiesウィンドウが現われる.そのまま[OK]ボタンをクリックする.
  −最初にデバイスの消去を行い,次いで,コンフィギュレーションが始まる.
  −正常終了すると"Program Succeeded"というメッセージが現われる.
    ・この段階でデバイスは機能を始めている.
  −別のJEDECファイルでコンフィギュレーションする場合は,
    ・デバイスのアイコンを右クリックし,Assign New Configuration File...を選択し
    ・JEDECファイルを指定する
    ・再度,右クリックしてProgram...を選ぶ.